Publications

Download BibTeX.

2023
September
Secure Run-Time Hardware Trojan Detection Using Lightweight Analytical Models.
Burin Amornpaisannon, Andreas Diavastos, Li-Shiuan Peh, and Trevor E. Carlson.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
2023
June
PDF SeRaNDiP: Leveraging Inherent Sensor Random Noise for Differential Privacy Preservation in Wearable Community Sensing Applications.
Ayanga Imesha Kumari Kalupahana, Ananta Narayanan Balaji, Xiaokui Xiao, and Li-Shiuan Peh.
Proc. ACM Interact. Mob. Wearable Ubiquitous Technol. 7(2).
2023
June
PDF AI-On-Skin: Towards Enabling Fast and Scalable On-Body AI Inference for Wearable On-Skin Interfaces.
Ananta Narayanan Balaji and Li-Shiuan Peh.
Proc. ACM Hum.-Comput. Interact. 7(EICS).
2023
May
1.7pJ/SOP Neuromorphic Processor with Integrated Partial Sum Routers for In-Network Computing.
B. Wang, M. M. Wong, D. Li, Y. S. Chong, J. Zhou, W. F. Wong, L. Peh, A. Mani, M. Upadhyay, A. Balaji, and A. T. Do.
2023 IEEE International Symposium on Circuits and Systems (ISCAS).
2022
July
PDF REACT: A Heterogeneous Reconfigurable Neural Network Accelerator with Software-Configurable NoCs for Training and Inference on Wearables.
Mohit Upadhyay, Rohan Juneja, Bo Wang, Jun Zhou, Weng-Fai Wong, and Li-Shiuan Peh.
Proceedings of the 59th ACM/IEEE Design Automation Conference (DAC '22).
2022
February
PDF REVAMP: A Systematic Framework for Heterogeneous CGRA Realization.
Thilini Kaushalya Bandara, Dhananjaya Wijerathne, Tulika Mitra, and Li-Shiuan Peh.
Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '22).
2021
May
PDF AI-on-Skin: Enabling On-Body AI Inference for Wearable Artificial Skin Interfaces.
Ananta Narayanan Balaji and Li-Shiuan Peh.
Extended Abstracts of the 2021 CHI Conference on Human Factors in Computing Systems (CHI EA '21).
2021
May
PDF Sentry-NoC: A Statically-Scheduled NoC for Secure SoCs.
Ahmed Shalaby, Yaswanth Tavva, Trevor E. Carlson, and Li-Shiuan Peh.
2021 15th IEEE/ACM International Symposium on Networks-on-Chip (NOCS '21).
2020
November
PDF Laser Attack Benchmark Suite.
Burin Amornpaisannon, Andreas Diavastos, Li-Shiuan Peh, and Trevor E. Carlson.
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
2020
March
PDF Shenjing: A Low Power Reconfigurable Neuromorphic Accelerator with Partial-Sum and Spike Networks-on-Chip.
Bo Wang, Jun Zhou, Weng-Fai Wong, and Li-Shiuan Peh.
Proceedings of the 23rd Conference on Design, Automation and Test in Europe (DATE '20).
2019
November
PDF HyCUBE: A 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator for IoT Applications.
Bo Wang, Manupa Karunarathne, Aditi Kulkarni, Tulika Mitra, and Li-Shiuan Peh.
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC '19).
2019
November
PDF 4D-CGRA: Introducing Branch Dimension to Spatio-Temporal Application Mapping on CGRAs.
Manupa Karunaratne, Dhananjaya Wijerathne, Tulika Mitra, and Li-Shiuan Peh.
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD '19).
2019
June
PDF pH Watch - Leveraging Pulse Oximeters in Existing Wearables for Reusable, Real-Time Monitoring of PH in Sweat (Demo).
Ananta Narayanan Balaji, Chen Yuan, Bo Wang, Li-Shiuan Peh, and Huilin Shao.
Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services (MobiSys '19).
2018
June
PDF Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core Architecture for Wearables.
Cheng Tan, Manupa Karunaratne, Tulika Mitra, and Li-Shiuan Peh.
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA '18).
2018
June
PDF Dnestmap: Mapping Deeply-Nested Loops on Ultra-Low Power CGRAs.
Manupa Karunaratne, Cheng Tan, Aditi Kulkarni, Tulika Mitra, and Li-Shiuan Peh.
Proceedings of the 55th Annual Design Automation Conference (DAC '18).
2017
November
PDF LOCUS: Low-Power Customizable Many-Core Architecture for Wearables.
Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, and Li-Shiuan Peh.
ACM Trans. Embed. Comput. Syst. 17(1).
2017
June
PDF HyCUBE: A CGRA with Reconfigurable Single-Cycle Multi-Hop Interconnect.
Manupa Karunaratne, Aditi Kulkarni Mohite, Tulika Mitra, and Li-Shiuan Peh.
Proceedings of the 54th Annual Design Automation Conference 2017 (DAC '17).